作业帮 > 综合 > 作业

VHDL语言中,写了 if CLK'EVENT and CLK='0' then程序,但是为什么一直都报错?

来源:学生作业帮 编辑:搜狗做题网作业帮 分类:综合作业 时间:2024/06/24 16:51:43
VHDL语言中,写了 if CLK'EVENT and CLK='0' then程序,但是为什么一直都报错?
报Error (10822):HDL error at ADS6122.vhd(59):couldn't implement registers for assignments on this clock edge
VHDL语言中,写了 if CLK'EVENT and CLK='0' then程序,但是为什么一直都报错?
你的代码结构太复杂了,在生成电路是无法分配寄存器,改简单点
你在这个if里面赋值的信号是不是也在其他地方赋值了?
你把59行附近的代码都贴出来看看
再问: 谢谢你,我在一个进程中的代码如果是 REG:process(CLK,RST) begin if RST='0' then elsif (CLK='0'and CLK'EVENT) and COM1='1' then CLKOUT